CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - max plusii

搜索资源列表

  1. SECLOCK

    0下载:
  2. 我从一本书上抄来的 但用MAX+PLUSII编译有些问题 初学者 见谅-from a book copied but with the MAX PLUSII compile some of the problems beginners forgiven
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3908
    • 提供者:male
  1. 基于FPGA的李沙育图形发生器

    0下载:
  2. 这是一个用MAX+PLUSII开发FPGA(1K30器件)开发的李沙育图形发生器(硬件描述语言部分)。-This is a development with MAX PLUSII FPGA (1K30 device) developed Lissajous Pattern Generator (hardware descr iption language).
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:791509
    • 提供者:孔玉
  1. zldjkzjq

    0下载:
  2. max+plusII下编成的直流电机控制器vhd-under monument of the DC motor controller vhd
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2669
    • 提供者:李清
  1. ztj

    0下载:
  2. max+plusII下的使用列举类型的状态机-max plusII use of the listed types of state machine.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1434
    • 提供者:李清
  1. jcq

    0下载:
  2. max+plusII下的各种功能的计数器vhd-under the various functions of the counter vhd
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1135
    • 提供者:李清
  1. pingpangqiu

    0下载:
  2. 用max+plusII编写的vhdl程序 乒乓球游戏机-with max plusII vhdl procedures for the preparation of the table tennis game
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:14545
    • 提供者:黄还
  1. count_usebasketball

    0下载:
  2. 一个小程序,用Veilog HDL编写的,可以用于篮球比赛的倒计时牌,已在max-plusII上仿真通过。-a small program, prepared by the Veilog HDL, can be used for the basketball game countdown. have max-plusII on through simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2202
    • 提供者:孙忠诚
  1. miaobiao_watch

    0下载:
  2. 此为秒表程序,具有秒表的一般基本功能,已在MAX+plusII 10.2下编译通过。-stopwatch for this procedure is the general basic stopwatch functions, MAX has been under plusII 10.2 compile.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:116193
    • 提供者:胡赟星
  1. chengxufengxiang

    0下载:
  2. 这些程序我用MAX+PlusII软件测试均能通过编译,程序本身不复杂,旨在为刚接触VHDL语言的朋友提供一些样例,以便了解VHDL语言的基本构成。如果要运行测试,则新建文件名应于程序中实体名一致,文件后缀“.vhd”,不推荐直接通过复制、粘贴的方法录入程序,可能会引入错误字符。 -these procedures I used MAX PlusII Software Testing pass compiler, the process itself is not complicated. for
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1802
    • 提供者:zhaoting
  1. MAX+PLUSII

    0下载:
  2. MAX+PLUSII不错的电子书,内容详细,易懂.-MAX PLUSII good e-books, detailed, understandable.
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:262539
    • 提供者:zhoudefang
  1. MAX+plusIIxiaVHDLsheji

    0下载:
  2. VHDL在MAX+plusII下进行的电路设计!是一个WORD文档!
  3. 所属分类:通讯编程

    • 发布日期:2014-01-16
    • 文件大小:12445
    • 提供者:段正伟
  1. myproject

    0下载:
  2. 四位全加器,VHDL语言,max+plusII平台做的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:56500
    • 提供者:邱飞
  1. vhdl

    0下载:
  2. VHDL是Very High Speed Integrated Circuit Hardware Descr iption Language的缩写, 意思是超高速集成电路硬件描述语言。对于复杂的数字系统的设计,它有独特的作用。它的硬件描述能力强,能轻易的描述出硬件的结构和功能。这种语言的应用至少意味着两种重大的改变:电路的设计竟然可以通过文字描述的方式完成;电子电路可以当作文件一样来存储。随着现代技术的发展,这种语言的效益与作用日益明显,每年均能够以超过30%的速度快速成长。 这次毕
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:473740
    • 提供者:造型
  1. VerilogHDLshujicaiji

    0下载:
  2. 基于Verilog HDL设计的自动数据采集系统 介绍了一种采用硬件控制的自动数据采集系统的设计方法,包括数字系统自顶向下的设计思路、Verilog HDL对系统硬件的描述和状态机的设计以及MAX+PLUSII开发软件的仿真。设计结果表明:该采集系统具有很高的实用价值,极大地提高了系统的信号处理能力。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:78230
    • 提供者:李进来
  1. jsq60

    0下载:
  2. 使用MAX+PLUSII可以 运行,也可下到虚拟机上运行
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-18
    • 文件大小:1387
    • 提供者:苏文将
  1. MAX-PLUSII-soft

    0下载:
  2. MAX+PLUSII软件是一个功能强大,容易使用的软件包,它可以以图 形方式、文字输入方式(AHDL、VHDL和VERILOG)和波形方式输入设计文 件,可以编译并形成各种能够下装到EPROM和各种ALTERA器件的文件,还可 以进行仿真以检验设计的准确性,下面举例说明该软件的使用-MAX+ PLUSII software is a powerful, easy-to-use software package, which can graphically, text input me
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:125111
    • 提供者:徐靖
  1. OP07_a

    0下载:
  2. The OP07 has very low input offset voltage (75 μV max for OP07E) which is obtained by trimming at the wafer stage. These low offset voltages generally eliminate any need for external nulling. The OP07 also features low input bias current (±4 nA
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:194893
    • 提供者:meyssam
  1. maxplus2

    0下载:
  2. 开发VHDL的工具,MAX+PLUSII 直接下载使用,-VHDL development tools, MAX+ PLUSII direct download,
  3. 所属分类:Other systems

    • 发布日期:2017-06-10
    • 文件大小:17324694
    • 提供者:sunruili
  1. fir-filter-design-using-fpga-with-MAX-Plus2

    0下载:
  2. 基于FPGA的高阶FIR滤波器设计用max-plus -II软件仿真-fir filter using fpga with max-plusII
  3. 所属分类:VHDL-FPGA-Verilog

  1. TAXI

    0下载:
  2. 基于VHDL的出租车计费器,通过VHDL语言来编程实现计费系统的四个功能块:分频模块,控制模块,计量模块和译码显示模块,最后使用MAX+PLUSII软件来对程序进行仿真,以模拟实现出租车的启动,停止以及等待等过程中的计时,计程和计费功能。-Taxi meter based on VHDL, VHDL language programming through the billing system of the four functional blocks: frequency module, co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:949
    • 提供者:张鹏飞
« 12 3 »
搜珍网 www.dssz.com